modelsim使用教程

來源:酷知科普網 2.94W

Modelsim模擬工具是Model公司開發的。它支援Verilog、VHDL以及他們的混合模擬,它可以將整個程式分步執行,使設計者直接看到他的程式下一步要執行的語句,而且在程式執行的任何步驟任何時刻都可以檢視任意變數的當前值,可以在Dataflow視窗檢視某一單元或模組的輸入輸出的連續變化等,比quartus自帶的模擬器功能強大的多,是目前業界最通用的模擬器之一。
對於初學者,modelsim自帶的教程是一個很好的選擇,在Help->SE PDF Documentation->Tutorial裡面.它從簡單到複雜、從低階到高階詳細地講述了modelsim的各項功能的使用,簡單易懂。但是它也有缺點,就是它裡面所有事例的初期準備工作都已經放在example資料夾裡,直接將它們新增到modelsim就可以用,它假設使用者對當前操作的前期準備工作都已經很熟悉,所以初學者往往不知道如何做當前操作的前期準備。
下面,由我來介紹modelsim模擬的基本使用方法。

操作方法

(01)找到Modelsim這個軟體,雙擊開啟。

modelsim使用教程

(02)單擊File,將滑鼠移至New,選擇Project...,出現如圖所示的對話方塊。上邊的紅框填寫你要建立的工程名稱,比如我們要點亮一個led,就建立一個工程名為led的工程。下邊的紅框是指你要把工程建立在什麼位置。其餘的選項預設即可。點選ok。

modelsim使用教程 第2張
modelsim使用教程 第3張

(03)接下來,點選Create new File,在彈出的對話方塊中填寫檔名,比如led.v,add file as type,選擇verilog,點選ok。因為我們要用Modelsim模擬,一般要有測試檔案,再新增一個即可,和新增led.v步驟一樣。我起名為tb_led.v。

modelsim使用教程 第4張
modelsim使用教程 第5張

(04)分別雙擊檔名,進入編輯模式。編寫程式碼。儲存後,將滑鼠放在其中一個檔案,右鍵,compile->compile all。編譯通過後,會提示# Compile of tb_led.v was successful.# 2 compiles, 0 failed with no errors.就可以進行模擬了。

modelsim使用教程 第6張
modelsim使用教程 第7張
modelsim使用教程 第8張
modelsim使用教程 第9張

(05)點選Simulate->start Simulation。記得把optimizationqian2的勾選去掉,點選work前的加號,選擇測試檔案,比如我寫的是tb_led.v。ok。出現wave,現在把波形加進去。在 tb_led檔案右鍵 Add->To wave ->All items in design。開始執行模擬,點選像一本書旁邊帶雙箭頭的圖示。再點選放大鏡可以調節波形的時間軸的座標。最後的模擬波形如圖。希望對你們有幫助。

modelsim使用教程 第10張
modelsim使用教程 第11張
modelsim使用教程 第12張
modelsim使用教程 第13張
modelsim使用教程 第14張
modelsim使用教程 第15張
modelsim使用教程 第16張
modelsim使用教程 第17張
熱門標籤